«fpga» etiketlenmiş sorular

Sahada Programlanabilir Kapı Dizisi (FPGA), imalattan sonra müşteri tarafından yapılandırılan bir mantık çipidir - dolayısıyla "sahada programlanabilir".

4
Bir FPGA'yı yanlış programlayarak kırabilir misiniz?
Bir FPGA'yı yanlış programlayarak gerçekten bozabilir misiniz ? Ben gerçekten bir yazılımcıyım. Yazılımınız yanlış ise, her türlü önemli veriyi yok edebileceğiniz ve hatta belki de tüm makineye zarar verebileceğiniz bir sır değil. Ancak bir bilgisayarı yalnızca programlayarak fiziksel olarak zarar vermek gerçekten zordur . (Halt-and-Catch-Fire talimatının sonsuz bir söylentisi vardır, …
26 fpga 

5
FPGA, ASIC ve Genel Mikrodenetleyiciler arasındaki farklar ve benzerlikler nelerdir?
Okuduğum var bu yazı ve bütünüyle soruma cevap vermez: Bir mikrodenetleyiciyi biraz hafızası olan, kaydeden ve LOAD, STORE ve ADD gibi bir dizi talimatı işleyebilen herhangi bir şey olarak düşünüyorum. Mantık geçitleri ve rolünü yerine getirecek şekilde içeriyor, ancak asıl görevi evrensel bir bit işlemcisi olmak. Bir Mikrodenetleyiciyi, talimatları saklamak …

1
FPGA'lar neden HDMI video projeleri için bu kadar sık ​​kullanılıyor?
Eğer aracılığıyla bakacak olursak hackaday gibi bir sitede hdmi projelerde , onlara o hemen her biri bir FPGA içeren bulacaksınız. FPGA kullanmayan HDMI çıkışı olan herhangi bir DIY projesi gördüğümü sanmıyorum. Ama neden? Söyleyebileceğim kadarıyla, FPGA'lar pahalı, 70-100 $ civarında. Bunu 35 dolarlık bir Raspberry Pi ile karşılaştırın, daha karmaşık …
24 fpga  hdmi 

2
FPGA’da LUT nedir?
Çeşitli kaynaklardan geçtim ... Ama ne olduğundan emin değilim. Bir ve bir kapı istiyorum ve mantıksal eşdeğer bir kapıya beslenen iki girdi ve Y = AB için mantıksal eşdeğer bir kapıya değil besleniyor ve bir ve kapı. Fakat hem AND hem de Y = AB 'için aynı LUT. LUT'ta istenen …
24 fpga 

2
HDL vs RTL? Fark ne
RTL ve HDL arasındaki temel fark nedir? Dürüst olmak gerekirse araştırdım / googledim, ancak insanlar düşüncelerine göre ayrılıyor. Birinin HDL'nin bir dijital devreyi tanımlamak için kullanılan bilgisayar dili olduğunu ve sentezlenebilir olduğunda RTL olarak kabul edildiğini söylediğimi hatırlıyorum.
24 fpga  hdl  rtl 

6
Intro bilgisayar mimarisinde FPGA'ların TTL üzerinden kullanmanın avantajları nelerdir?
Bir ve tek bilgisayar mimarlığı dersini liberal bir sanat okulunda öğretiyorum. Elbette bilgisayar bilimi büyük ve küçük için gereklidir. Bilgisayar mühendisliği, elektrik mühendisliği, diğer donanım kursları, vb. Yok. Kursun temel amacı, öğrencilerin bir donanım laboratuarında en iyi öğrendiklerini düşündüğüm bilgisayarların nasıl çalıştığını, geçit seviyesine kadar inmelerini sağlamak. sadece bir ders …

7
Herhangi bir Analog FPGA var mı?
Anladığım kadarıyla FPGA'lar, bir dijital devre tasarlamanıza ve oluşturmanıza izin veren esnek "dijital" devrelerdir. Kulağa saf veya saçma gelebilir ama amplifikatörler veya A / D veya D / A veya alıcı vericiler veya daha basit bileşenler gibi tasarımcıya analog bileşenleri de sağlayan FPGA'lar veya başka "esnek" teknolojiler olup olmadığını merak …

4
FPGA'ya zarar verebilecek VHDL
Kötü VHDL kodunun FPGA hasarına yol açabileceğini bir yere okudum. VHDL kodlu bir FPGA'ya zarar vermek bile mümkün mü? Ne tür koşullar buna neden olur ve en kötü durum senaryoları nelerdir?
22 fpga  vhdl 

4
SRAM tabanlı FPGA neden NVM tabanlı FPGA'dan daha fazla kullanılıyor?
SRAM tabanlı FPGA'ların kapandıktan sonra bit akımını tekrar yüklemeleri gerekir. Bu arada, Geçici Olmayan temelli olanın buna ihtiyacı yok. SRAM FPGA üzerinde neden NVM tabanlı bir deneyden daha fazla deneme ve güvenlik araştırması yapıldığını merak ediyorum, uçucu teknolojinin güvenlik sınırlarına bakılmaksızın (güvenli bir önyükleme sağlamak için) ne kadar fazla kullanıldığı …

5
FPGA çıkışı çok çekirdekli bir bilgisayar yapabilir mi?
FPGA'nın bir algoritmayı hızlandırmak için nasıl kullanılabileceğini anlamıyorum. Şu anda dört hesaplama paralel olarak yapılabilmesi için bir quadcore dizüstü bilgisayarda zaman alıcı gerçek zamanlı algoritma çalıştırıyorum. Kısa bir süre önce FPGA'nın daha iyi performans sağlayabileceği konusunda uyarıldım. Bunun nasıl çalıştığını anlamıyorum. Birisi FPGA'nın bir algoritmayı nasıl hızlandırdığını açıklayabilir mi ve …

4
Her ikisini de destekleyen bir FPGA'da mandalları ne zaman parmak arası terlikten daha iyi kullanırsınız?
Soru: Her ikisini de destekleyen bir FPGA'da mandalları ne zaman parmak arası terlikten daha iyi kullanırsınız? Arka fon: FPGA'larda seviyeye duyarlı şeffaf mandallardan kaçınılması ve sadece kenara duyarlı parmak arası terliklerin kullanılması iyi bilinen bir prensiptir. Çoğu FPGA mimarisi yerel olarak hem mandalları hem de parmak arası terlikleri destekler. Genel …
20 fpga  flipflop 

7
FPGA üzerine işlemci tasarımı nasıl elde edilir
Kısa bir süre önce kendi kendine öğreten mantık tasarımı yolculuğuna çıktım. Bunun nihai ürünü, tam olarak bir simülatörde tasarlandığı gibi çalışan fonksiyonel bir 16 bit CPU'dur . Şimdi bir FPGA ile silikon içine koyma olasılığını araştırmaya başladım. Giriş / çıkış arenasında (gerçek dünya simülasyon ortamından farklıdır) birçok ayar yapmam gerekeceğini …
20 fpga  cpu 

2
Yumuşak Çekirdekli İşlemciler VS Sert Çekirdekli İşlemciler
ARM9 gibi Mikroişlemci ile FPGA arabirimi üzerinde bir çalışma yapıyorum. Çalışmamda Yumuşak çekirdek ve Sert çekirdek İşlemciler kavramına rastladım. Bu 2 tip arasındaki karşılaştırmanın ne olduğunu öğrenebilir miyim; benzerlik veya uygulamadaki farklılıklar?
19 fpga  arm 

1
Yumuşak CPU doğrulaması
Şu anda Xilinx ISE ve ISIM kullanarak VHDL'de basit bir CPU tasarlama sürecindeyim. Tasarım kısmı oldukça iyi gidiyor, ancak doğrulamayı tutarlı bir şekilde yapmanın bir yolunu bulamıyorum. Şu anda üzerinde çalıştığım işlevi test etmek için güncellediğim bir VHDL test tezgahım var. Bu çok ad-hoc, ve regresyonları yakalamama yardımcı olmaz ve …
18 fpga  vhdl  cpu  test 


Sitemizi kullandığınızda şunları okuyup anladığınızı kabul etmiş olursunuz: Çerez Politikası ve Gizlilik Politikası.
Licensed under cc by-sa 3.0 with attribution required.