«intel-fpga» etiketlenmiş sorular

4
Saatin her iki kenarını kullanma
Verilog ve Quartus II kullanarak bir Altera Cyclone IV programlıyorum. Tasarımımda, saatin her iki kenarını da kullanmak istiyorum, böylece% 50 görev döngüsüne sahip garip bir faktörle saat bölünmesi yapabilirim. İşte benim kod snippet'i: always @(posedge low_jitter_clock_i or negedge low_jitter_clock_i or posedge reset_i) begin if(reset_i) begin fixed_clock <= 1'b0; divider_dummy <= …

3
Saat çarpıklığı nedir ve neden negatif olabilir?
HDL derleyicim (Quartus II) zamanlama raporları oluşturur. İçinde, düğümlerin "saat çarpıklığı" sütunu vardır. Bulduğum saat çarpıklığının tek tanımı TimeQuest belgelerinde (bkz: sayfa 7-24): Saatten saate aktarımlarda saat belirsizliğini veya eğriltmeyi manuel olarak belirlemek için set_clock_uncertaintykomutu kullanın. Eğer çarpıklık "belirsizlik" ise, saat çarpıklığımın bazıları neden negatif (ör. -0.048)? Saat çarpıklığı tam …
Sitemizi kullandığınızda şunları okuyup anladığınızı kabul etmiş olursunuz: Çerez Politikası ve Gizlilik Politikası.
Licensed under cc by-sa 3.0 with attribution required.