«xilinx» etiketlenmiş sorular

Popüler bir FPGA (Alan Programlanabilir Kapı Dizileri) ve CPLD'ler (Karmaşık Programlanabilir Mantık Aygıtları) üreticisi.

8
Bir FPGA nasıl seçilir?
Kilitli . Bu soru ve cevapları kilitlidir çünkü soru konu dışıdır, ancak tarihsel önemi vardır. Şu anda yeni yanıtları veya etkileşimleri kabul etmiyor. 10 kHz'de 8 analog hatta dijital sinyal işleme ihtiyacım var. Bu oldukça zorlu bir görev ve bir FPGA'nın doğru yaklaşım olabileceğini düşünüyordum. Şu anda Xilinx'ten dev kitlerine …

8
VHDL öğrenme projesi
EE öğrencisiyim ve [en azından basit] programları parmaklarımdan daha fazla dilde yazabiliyorum. VHDL öğrenmeye yeni başladım ve dili ve ilgili araçları gerçekten tanımak için iyi bir projenin ne olacağını merak ediyordum? Biriyle gelmekte zorlanıyorum çünkü bu benim için gerçekten farklı bir programlama tarzı. Toplayıcılar gibi basit şeyler yaptım, ancak daha …
16 fpga  xilinx  vhdl 

4
Xilinx dosya soneklerinin listesi (ISE için)
Xilinx'ten böyle bir liste istedim ama tam bir listesi yok. Tüm girdi dosyalarının kaynak denetiminde olduğundan ve tüm çıktı dosyalarının olmadığından emin olmak istiyorum. Bu ISE ve PlanAhead ile 13.1-13.2 ile Sağladıkları bilgilerin bir kısmı, buradan komut dosyaları listesi olan PAR Çıktı Dosyaları ve Komut Satırı Araçları Kullanıcı Kılavuzu'ndaki ISE …
15 xilinx  ise  planahead 

4
BJT transistörler doygun bir durumda nasıl çalışır?
NPN BJT'ler (Bipolar Kavşak Transistörleri) hakkında bildiklerim: Baz-Yayıcı akımı, Kollektör-Yayıcıda HFE süreleri ile yükseltilir, böylece Ice = Ibe * HFE VbeBaz Verici arasındaki voltajdır ve herhangi bir diyot gibi genellikle 0,65V civarındadır. VecYine de hatırlamıyorum . Eğer Vbeminimum eşik değerinden daha düşük, daha sonra transistör açık ve kontaktların herhangi biri …

1
Yüksek hızlı karşılaştırıcı olarak FPGA'nın diferansiyel I / O pinlerini kullanabilir miyim?
Yüksek hızlı karşılaştırıcılar oldukça pahalıdır ve FPGA'ların çok iyi olduğu hızdır. Öte yandan, FPGA'lar (benim durumumda: XC3S400), her bankada voltajlarının karşılaştırıldığı diferansiyel pimleri eşleştirdi (En azından öyle düşünüyorum!). Ayrıca, karşılaştırıcı görevi görebilecek tek uçlu standartlar için Vref'e de sahiptirler . Bu diferansiyel G / Ç çifti pimlerini karşılaştırıcı olarak kullanıp …

4
Xilinx Vivado ile SVN mi kullanıyorsunuz?
Vivado'yu yeni bir projede kullandığımı belirttim ve proje dosyalarını SVN altına koymak istiyorum. Vivado, proje adı altında tüm proje dosyalarını oluşturuyor gibi görünüyor (örneğin proj1): /<path to the project>/proj1/ proj1.xpr proj1.srcs/ constrs_1/ new/ const1.xdc proj1.runs/ proj1.data/ proj1.cache/ Benim sorum XDC ve XPR dosyası dışında SVN altına koymam gereken dosyalar nelerdir?
13 fpga  xilinx 

4
FPGA ürün yazılımı tasarımı: Ne kadar büyük?
Matlab'dan VHDL'ye taşınması gereken özellikle büyük bir sinyal işleme dönüşümüne sahibim. Kesinlikle bir çeşit kaynak paylaşımı gerektirir. Biraz hesaplama bana şunları verdi: 64 noktadan 512 fft 41210 çarpma-ekleme işlemleri Virtex 6 FPGA'nın ~ 2000 DSP48E bloğuna sahip olduğu düşünüldüğünde, kaynakları birden çok kez tekrar kullanmak için kaynak paylaşımını yapabileceğimi biliyorum. …
13 fpga  vhdl  xilinx 

3
Bir FPGA tasarımının en fazla kaynağı ve alanı kullanan alanları nasıl belirlenir?
Büyük bir FPGA tasarımı üzerinde çalışıyorum ve şu anda kullandığım FPGA'nın CSG225 paketindeki Xilinx LX16 kaynak sınırlarına çok yakınım. Tasarım da neredeyse tamamlandı, ancak şu anda artık FPGA'ya sığmayacak. Parçaları sığdırmak için kapatabilirim, ancak tasarımı tamamlamak ve zamanlama ve boyut gereksinimlerini karşılamak için kaynak kullanımını azaltmam gerekiyor. Raporlarımızda, tasarımımın hangi …

3
FPGA, ilk adımlar
Eh bu aşkın FPGA üzerinde Soruma bir devamıdır burada . Sonunda bir Spartan 6 FPGA ile bir Digilent Atlys seçtim , mikrodenetleyicilerle bir miktar iş yapmama rağmen FPGA'larla ilgili daha önce hiç deneyimim yok. Son birkaç günü FPGA veri sayfalarını okuyarak geçirdim ve Verilog ile başlamak için iyi bir seçim …
11 fpga  xilinx  spartan 

4
FPGA: saymak mı yoksa geri saymak mı?
Bir FPGA (vhdl kullanarak bir xilinx spartan3e olan Papilio geliştirme kartı) kullanmayı öğreniyorum. Gelen nabzı (sabit kodlu) bir numaraya bölmem gerekiyor. Ben yaklaşık 3 seçenek görebilirsiniz - kabaca, sözde kod olarak (örnek olarak 10 sayım kullanarak): 0'a sıfırlayın, giriş yükselen kenarda 1 artarak 10 ile karşılaştırın; eşitse, 0'a sıfırlayın ve …
11 fpga  vhdl  xilinx  papilio 

2
FPGA'da "yarım mandal" nedir?
Radyasyon sert FPGA'lar hakkında bir makalede şu cümleyle karşılaştım: "Virtex cihazları ile ilgili bir diğer endişe yarım mandal. Bu mantıkta kullanmaktan daha verimli olduğu için bu cihazlar içinde yarım mandallar dahili sabitler için bazen kullanılıyor". "Yarım mandal" adı verilen bir FPGA ilkel cihazını hiç duymadım. Anladığım kadarıyla, arka uç araçlarında …
10 fpga  vhdl  xilinx  radiation 

1
FPGA'm yönlendirme kaynaklarının dışında mı?
Bana baş ağrısı veren Artix-7 cihazı dışında neredeyse her türlü Xilinx 7 serisi cihaz üzerinde çalışan bir Seri-ATA Denetleyici tasarımım var ... Saf tasarım (SATA 6.0Gb / s, 150 MHz tasarım saati) Artix-7 200T cihazımda uygulanabilir. ILA çekirdekleri (daha önce ChipScope olarak biliniyordu) eklersem, zamanlama karşılanmaz. Durumu rahatlatmak için ne …

1
Sentezlenmiş bir ROM çekirdeği ile basit bir test tezgahı simülasyonu
FPGA dünyasında tamamen yeniyim ve çok basit bir projeyle başlayacağımı düşündüm: 4 bit 7 segmentli bir kod çözücü. Tamamen VHDL'de yazdığım ilk sürüm (temelde tek bir kombinasyonel select, saat gerekli değil) ve işe yarıyor gibi görünüyor, ama aynı zamanda Xilinx ISE'deki "IP Cores" şeylerini denemek istiyorum. Şimdilik "ISE Project Explorer" …
Sitemizi kullandığınızda şunları okuyup anladığınızı kabul etmiş olursunuz: Çerez Politikası ve Gizlilik Politikası.
Licensed under cc by-sa 3.0 with attribution required.