«fpga» etiketlenmiş sorular

Sahada Programlanabilir Kapı Dizisi (FPGA), imalattan sonra müşteri tarafından yapılandırılan bir mantık çipidir - dolayısıyla "sahada programlanabilir".

3
FPGA, ilk adımlar
Eh bu aşkın FPGA üzerinde Soruma bir devamıdır burada . Sonunda bir Spartan 6 FPGA ile bir Digilent Atlys seçtim , mikrodenetleyicilerle bir miktar iş yapmama rağmen FPGA'larla ilgili daha önce hiç deneyimim yok. Son birkaç günü FPGA veri sayfalarını okuyarak geçirdim ve Verilog ile başlamak için iyi bir seçim …
11 fpga  xilinx  spartan 

4
FPGA: saymak mı yoksa geri saymak mı?
Bir FPGA (vhdl kullanarak bir xilinx spartan3e olan Papilio geliştirme kartı) kullanmayı öğreniyorum. Gelen nabzı (sabit kodlu) bir numaraya bölmem gerekiyor. Ben yaklaşık 3 seçenek görebilirsiniz - kabaca, sözde kod olarak (örnek olarak 10 sayım kullanarak): 0'a sıfırlayın, giriş yükselen kenarda 1 artarak 10 ile karşılaştırın; eşitse, 0'a sıfırlayın ve …
11 fpga  vhdl  xilinx  papilio 

2
İki IC arasında bir osilatörün paylaşılması
Aynı kartta bir mikro denetleyici ve bir FPGA var. Her ikisi de aynı saat hızında çalışacaksa, ikisini de saatlemek için sadece bir osilatör kullanabilir miyim? Burada dikkat etmem gereken bir şey var gibi görünüyor, ancak izleri kısa tutarsam onunla ilgili herhangi bir problemi hemen düşünemiyorum. Bunu daha önce kimse yaptı …

2
FPGA'da "yarım mandal" nedir?
Radyasyon sert FPGA'lar hakkında bir makalede şu cümleyle karşılaştım: "Virtex cihazları ile ilgili bir diğer endişe yarım mandal. Bu mantıkta kullanmaktan daha verimli olduğu için bu cihazlar içinde yarım mandallar dahili sabitler için bazen kullanılıyor". "Yarım mandal" adı verilen bir FPGA ilkel cihazını hiç duymadım. Anladığım kadarıyla, arka uç araçlarında …
10 fpga  vhdl  xilinx  radiation 

2
CPLD ve FPGA arasındaki fark nedir? [kapalı]
Kapalı. Bu soru konu dışı . Şu anda cevapları kabul etmiyor. Bu soruyu geliştirmek ister misiniz? Soruyu Elektrik Mühendisliği Yığın Değişimi için konuyla ilgili olacak şekilde güncelleyin . 4 yıl önce kapalı . CPLD ve FPGA arasındaki fark nedir?

5
VHDL: Tasarımda çarpanları uygularken '*' operatörünü kullanma
Günümüzde FPGA'lar DSP bloklarında, en son FPGA'lar IEEE-754 uyumlu kayan nokta ünitelerinde bile üretilmiştir. İçinde gerekli parametreleri seçtikten sonra bir GUI kullanarak DSP varlığı / modülü oluşturmak ve ardından tasarımda anında oluşturmak mümkündür. Bu tür mikro yönetimi gerçek DSP bloklarını örnekleme tasarımında ne zaman yapmamız gerekir ve ne zaman koda …
10 fpga  vhdl  dsp 

1
Harici belleğe FPGA bağlantısı
Ben kullanmaya çalışıyorum hücresel koç üzerinde NEXYS 4 FPGA geliştirme kurulu . Xilinx Vivado kullanıyorum ve Microblaze yumuşak çekirdekli bir işlemcinin okuma ve yazma yapabilmesini istiyorum. Şimdiye kadar işlemciyi bir blok tasarımında yarattım. İnternette çok fazla av yaptıktan sonra, sonunda umut verici göründüğünü düşündüğüm bir harici bellek denetleyicisi veya EMC …
10 fpga  memory  ram 

3
FPGA üzerinde işlem zamanlaması
Ben fpgas için yeniyim ve anladığımdan emin değilim bazı zamanlama incelikleri var: tüm senkron süreçlerim aynı kenarda tetiklenirse, girişlerim yükselen bir kenarda 'yakalanır' ve çıkışları aynı kenarda değişir ..? sıradaki yükseliş? birinin çıktısının diğerinin girişlerine aktığı iki modülüm varsa, modülüme girişlerin (önceki bir modülün çıkışları) yakalandıkları anda değiştiği durumlar ortaya …

1
MD5 VHDL boru hattı
Bu bağlantıya göre 3 aşamalı bir MD5 boru hattı uygulamaya çalışıyorum . Özellikle sayfa 31'deki algoritmalar . Veri iletmeyi açıklayan başka bir belge daha vardır. Bu bir FPGA'da (Terasic DE2-115) yapılır. Bu projede şema yok, sadece VHDL kodu var. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity md5core is port ( …
10 fpga  vhdl 

4
Saatin her iki kenarını kullanma
Verilog ve Quartus II kullanarak bir Altera Cyclone IV programlıyorum. Tasarımımda, saatin her iki kenarını da kullanmak istiyorum, böylece% 50 görev döngüsüne sahip garip bir faktörle saat bölünmesi yapabilirim. İşte benim kod snippet'i: always @(posedge low_jitter_clock_i or negedge low_jitter_clock_i or posedge reset_i) begin if(reset_i) begin fixed_clock <= 1'b0; divider_dummy <= …


3
Saat çarpıklığı nedir ve neden negatif olabilir?
HDL derleyicim (Quartus II) zamanlama raporları oluşturur. İçinde, düğümlerin "saat çarpıklığı" sütunu vardır. Bulduğum saat çarpıklığının tek tanımı TimeQuest belgelerinde (bkz: sayfa 7-24): Saatten saate aktarımlarda saat belirsizliğini veya eğriltmeyi manuel olarak belirlemek için set_clock_uncertaintykomutu kullanın. Eğer çarpıklık "belirsizlik" ise, saat çarpıklığımın bazıları neden negatif (ör. -0.048)? Saat çarpıklığı tam …

2
Hurdaya çıkarılan bir CCD sensörünü tarayıcıdan nasıl kullanabilirim?
Güç adaptörü olmayan eski bir tarayıcı aldım. Uyumlu bir adaptörle bir şeye bağladım, ancak görünüşe göre bu belirli tarayıcı güç kaynağına çok bağlı (düzenli 12V DC olduğu varsayılsa bile). Resmi bir güç kaynağı kullanana kadar çevrimiçi çalışmadığı için çok sayıda rapor vardı. Tarayıcının Windows'ta çalışmasını sağlayamadım ve Linux'ta gürültüden başka …
10 arduino  fpga  camera  ccd 

1
FPGA tabanlı Ambilight klonu nasıl oluşturulur?
Bazı hızlı arka plan: Ambilight , bazı Philips TV'lerde ekrandaki renk bilgilerini analiz eden ve ardından ekranın rengini duvara yansıtmak için ekranın arkasına bazı LED'ler ayarlayan bir sistemdir. Oldukça şık bir etki. Videoyu işlemek ve LED'leri kontrol etmek için bir PC kullanan bu sistemin klonları var. Bunu biraz aşırıya kaçıyorum …
10 fpga  hdmi 


Sitemizi kullandığınızda şunları okuyup anladığınızı kabul etmiş olursunuz: Çerez Politikası ve Gizlilik Politikası.
Licensed under cc by-sa 3.0 with attribution required.