«vhdl» etiketlenmiş sorular

VHDL (VHSIC (Çok Yüksek Hızlı Entegre Devre) Donanım Tanımlama Dili), sahada programlanabilir kapı dizileri ve entegre devreler gibi dijital sistemleri tanımlamak ve tasarlamak için elektronik tasarım otomasyonunda kullanılan bir donanım açıklama dilidir.

8
VHDL öğrenme projesi
EE öğrencisiyim ve [en azından basit] programları parmaklarımdan daha fazla dilde yazabiliyorum. VHDL öğrenmeye yeni başladım ve dili ve ilgili araçları gerçekten tanımak için iyi bir projenin ne olacağını merak ediyordum? Biriyle gelmekte zorlanıyorum çünkü bu benim için gerçekten farklı bir programlama tarzı. Toplayıcılar gibi basit şeyler yaptım, ancak daha …
16 fpga  xilinx  vhdl 

7
Test ve doğrulama arasındaki fark nedir?
Gördüğüm her ders kitabı, test ve doğrulamanın iki farklı kavram olduğu gerçeğini büyük ölçüde ortaya koyuyor . Yine de hiçbiri net (ya da benim için yeterince net) bir ayrım sunmuyor. Bir bağlam sağlamak için, donanım tasarım dillerini (HDL) kullanarak dijital donanım tasarımlarının doğrulanmasıyla ilgileniyorum. "Fiziksel" veya "somut" bir fark yaratan …

4
BJT transistörler doygun bir durumda nasıl çalışır?
NPN BJT'ler (Bipolar Kavşak Transistörleri) hakkında bildiklerim: Baz-Yayıcı akımı, Kollektör-Yayıcıda HFE süreleri ile yükseltilir, böylece Ice = Ibe * HFE VbeBaz Verici arasındaki voltajdır ve herhangi bir diyot gibi genellikle 0,65V civarındadır. VecYine de hatırlamıyorum . Eğer Vbeminimum eşik değerinden daha düşük, daha sonra transistör açık ve kontaktların herhangi biri …

3
VHDL: Mimari adlandırma ve yorumlama
Not: Xilinx'in İMKB'sini kullanıyorum ve çalışmak için bir FPGA kartım var (anahtarlar ve ışıklar vb.) Ve şimdiye kadar bazı basit projeleri birlikte hackledim. Aynı zamanda yaptığım şey için bir temel oluşturmak için birkaç öğretici okuyorum. Yaşadığım referans materyallerinde belirtilen çeşitli varlıkları ve mimarilerini gördüm, ancak adlandırma genellikle kafa karıştırıcı. Genellikle …

5
CPLD'lerde veya FPGA'larda şematik tasarım yerine Verilog veya VHDL'yi seçmeme ne sebep olabilir?
Programlanabilir mantıkta kesinlikle arka planım yok, projelerimde çoğunlukla mikrodenetleyiciler kullanıyorum, ancak son zamanlarda video ile çalışmam gerekiyordu ve mikrodenetleyici ihtiyacım olan şey için çok yavaş, bu yüzden CPLD'lerle oynamaya başladım. Sadece şematik tasarım kullanarak CPLD ile iyi sonuçlar elde ettim ancak CPLD'ler hakkında bilgi ararken VHDL ve Verilog kullanarak birçok …


4
FPGA ürün yazılımı tasarımı: Ne kadar büyük?
Matlab'dan VHDL'ye taşınması gereken özellikle büyük bir sinyal işleme dönüşümüne sahibim. Kesinlikle bir çeşit kaynak paylaşımı gerektirir. Biraz hesaplama bana şunları verdi: 64 noktadan 512 fft 41210 çarpma-ekleme işlemleri Virtex 6 FPGA'nın ~ 2000 DSP48E bloğuna sahip olduğu düşünüldüğünde, kaynakları birden çok kez tekrar kullanmak için kaynak paylaşımını yapabileceğimi biliyorum. …
13 fpga  vhdl  xilinx 

7
Ucuz FPGA dev kurulu [kapalı]
Kapalı. Bu soru konu dışı . Şu anda cevapları kabul etmiyor. Bu soruyu geliştirmek ister misiniz? Soruyu Elektrik Mühendisliği Yığın Değişimi için konuyla ilgili olacak şekilde güncelleyin . 4 yıl önce kapalı . FPGA ile başlamak istiyorum ama daha önce hiç çalışmadım. Ucuz bir kit istiyorum, ama nereden başlayacağımı bilmiyorum. …
12 fpga  vhdl  jtag 

6
FPGA hakkında kitap önerileri [kapalı]
Kapalı. Bu soru konu dışı . Şu anda cevapları kabul etmiyor. Bu soruyu geliştirmek ister misiniz? Soruyu Elektrik Mühendisliği Yığın Değişimi için konuyla ilgili olacak şekilde güncelleyin . 2 yıl önce kapalı . FPGA ve VHDL'yi kullanmaya başlamak için hangi kitap başlıklarını önerirsiniz? değiştir Tavsiye edilen kitapların birçoğunun 1996'dan kalma …
12 fpga  books  vhdl 

1
ModelSIM'de kırmızı sinyallerde nasıl hata ayıklayabilirim?
Kombinatoryal parça için sadece NAND kapıları ve sıralı mantık için D flip flopları kullanarak bir durum makinesi tasarlamalıyım. Her şey 1ghz / 53 saatinde çalışmalıdır. Şimdi bana "ödevini senin için yapmayacağız" ile saldırmadan önce, günlerce iş yatırdıktan sonra her şeyi hurdaya ayırdığımı ve her şeyi daha titiz bir şekilde yapmaya …

2
VHDL'de “umurumda değil” sinyallerini nasıl belirleyebilirim?
Mantık Tasarımı derslerinde hepimiz, örneğin bir Karnaugh haritası veya Quine – McCluskey algoritması kullanarak bir mantık işlevini en aza indirmenin mümkün olduğunu öğrendik . Ayrıca "Umurumda Değil" değerlerinin minimizasyon potansiyelini artırdığını öğrendik . Örneğin bir kayıt dosyası alın. write_addressVe write_datane zaman sinyalleri gerçekten önemli değil write_enablesinyaldir '0'. Bu nedenle, bu …

3
Bir FPGA tasarımının en fazla kaynağı ve alanı kullanan alanları nasıl belirlenir?
Büyük bir FPGA tasarımı üzerinde çalışıyorum ve şu anda kullandığım FPGA'nın CSG225 paketindeki Xilinx LX16 kaynak sınırlarına çok yakınım. Tasarım da neredeyse tamamlandı, ancak şu anda artık FPGA'ya sığmayacak. Parçaları sığdırmak için kapatabilirim, ancak tasarımı tamamlamak ve zamanlama ve boyut gereksinimlerini karşılamak için kaynak kullanımını azaltmam gerekiyor. Raporlarımızda, tasarımımın hangi …

2
VHDL'de If-else ve Case deyimi arasındaki fark
VHDL kodundaki farklı yapıların RTL'de nasıl sentezlendiğini anlamak istiyorum. Herkes bana VHDL'de bir işlemin If-Else yapı ve Case deyimi yapıları arasındaki kodun sentez aracıyla RTL devresine nasıl çıkarıldığı açısından farkını söyleyebilir mi? Birden fazla iç içe if-else ve case-deyimlerini bir işlem içinde if-else yapısı ile karıştırmayı düşünün . Ayrıca hangi …
11 vhdl  code-design  rtl 

12
Bugünlerde VHDL kullanıyor musunuz?
Ben bir Elektrik Mühendisliği öğrencisiyim ve VHDL olarak bilinen donanım tanımlama dilini inceliyorum. Google'da bir IDE (mac'tayım) aramak için aradım, ancak bu dil oldukça ölü görünüyor. İşte sorum: gelecekteki bir elektrik mühendisi olarak işimde VHDL benim için yararlı olacak mı? Kullanıyor musunuz? GÜNCELLEME: Herkese cevaplar için teşekkür ederim, ilk izlenimimde …
11 vhdl 

4
VEKTÖR temsillerini INTEGER'lere karşı ne zaman kullanır?
Bu sorunun cevabındaki yorum dizisinde: VHDL varlığında yanlış çıktılar belirtildi: "Tamsayılarla FPGA'daki dahili mantık gösterimini kontrol edemez veya erişemezsiniz, SLV ise taşıma zincirini verimli kullanmak gibi püf noktaları yapmanıza izin verir" Peki, hangi durumlarda dahili temsile erişmek için tamsayı s kullanmaktan ziyade bir bit temsili vektörü kullanarak kod yazmanın daha …
11 fpga  vhdl 

Sitemizi kullandığınızda şunları okuyup anladığınızı kabul etmiş olursunuz: Çerez Politikası ve Gizlilik Politikası.
Licensed under cc by-sa 3.0 with attribution required.